20MHz DDS Oszillator

Im Unterforum Alle anderen elektronischen Probleme - Beschreibung: Was sonst nirgendwo hinpasst

Elektronik Forum Nicht eingeloggt       Einloggen       Registrieren




[Registrieren]      --     [FAQ]      --     [ Einen Link auf Ihrer Homepage zum Forum]      --     [ Themen kostenlos per RSS in ihre Homepage einbauen]      --     [Einloggen]

Suchen


Serverzeit: 18 4 2024  17:42:17      TV   VCR Aufnahme   TFT   CRT-Monitor   Netzteile   LED-FAQ   Osziloskop-Schirmbilder            


Elektronik- und Elektroforum Forum Index   >>   Alle anderen elektronischen Probleme        Alle anderen elektronischen Probleme : Was sonst nirgendwo hinpasst

Gehe zu Seite ( 1 | 2 Nächste Seite )      


Autor
20MHz DDS Oszillator

    







BID = 469380

P.Wolf

Gelegenheitsposter



Beiträge: 62
Wohnort: Kösching
 

  


Hallo Zusammen,

ich habe am vergangenen Wochenende diesen 20 MHz DDS Funktionsgenerator zusammen gebaut, den es sowohl bei Conrad als auch bei ELV zu kaufen gibt.
Das Teil funktioniert, doch ich habe große Probleme, die Kalibrierung in den Griff zu bekommen.
Wenn ich bei unkalibirierter Ausgangslage eine Frequenz von 10 MHz einstelle, so kann ich auf dem angeschlossenen Zähler eine Ausgangsfrequenz von 9,999968 MHz ablesen. Nach erfolgter Kalibrierung laut Bedienungsanleitung kann ich zwar eine supergenaue 10 MHz Ausgangsfrequenz messen, doch wenn ich danach auf irgend eine andere Frequenz stelle, zum Beispiel 12 oder 15 MHz, so liege ich mehrere kHz daneben..... wie kann das denn sein ?!
Ich hatte eigentlich eine einigermaßen genaue Ausgangsfrequenz über den gesamten Arbeitsbereich erwartet, oder habe ich da irgend etwas falsch verstanden ?

Hat vielleicht irgend jemand hier auch solch ein Gerät im Einsatz?
Wäre nett, wenn man sich da mal austauschen könnte, oder vielleicht mal ein paar Tipps und Erfahrungsberichte bekommen könnte.

Gruß aus Kösching

Peter


BID = 469398

wulf

Schreibmaschine



Beiträge: 2246
Wohnort: Bozen

 

  

Hallo,
Was ist mit deiner Referenzfrequenz? Wie stabil ist die?
Wie genau/stabil ist denn der Frequenzzähler?

Grüsse Wulf

_________________
Simon
IW3BWH

BID = 469401

Ltof

Inventar



Beiträge: 9268
Wohnort: Hommingberg

Oder andersrum formuliert:
Du verlangst etwas zuviel. "Mehrere kHz daneben" bei 12 oder 15 MHz ist eine Abweichung kleiner 1ppm. Wenn das stabil so bliebe, wäre das für diesen DDS-Generator absolute Spitze. Da fragt sich, ob der Frequenzzähler tatsächlich so genau ist.

Die Abweichung bei "anderen Frequenen" sieht nach Quantisierungsfehlern aus. Das ist schließlich ein digitales System. Der Fehler liegt vermutlich beim Frequenzzähler. Das ist aber reine Spekulation.

Eine Langzeit-Gesamtabweichung von besser 10ppm wirst Du nicht erwarten können. Du brauchst eine vernünftige Referenzfrequenz zum Vergleichen. Hierzu eignet sich ein analoges Fernsehsignal vom ZDF beispielsweise über Analog-Sat.

Gruß,
Ltof

_________________
„Schreibe nichts der Böswilligkeit zu, was durch Dummheit hinreichend erklärbar ist.“
(Hanlon’s Razor)

BID = 469536

Ltof

Inventar



Beiträge: 9268
Wohnort: Hommingberg

Oje - peinlich, peinlich...

Meine Behauptung, dass das in der Größenordnung 1 ppm wäre, ist quatsch! Mehrere Kiloherz ist natürlich viel zu viel!

Bei einem so großen Fehler ist was faul! Aufbau, Störungen, Defekt im Generator oder Zähler...

Gruß,
Ltof

_________________
„Schreibe nichts der Böswilligkeit zu, was durch Dummheit hinreichend erklärbar ist.“
(Hanlon’s Razor)

BID = 469709

P.Wolf

Gelegenheitsposter



Beiträge: 62
Wohnort: Kösching

Also, erstmal vielen Dank für die Antworten.

Mein Zähler ist ein HP 5245, schon etwas betagt, aber er hat als Referenzfrequenz eine hochgenaue 1 MHz Quelle, die auf das ZDF Zeilenfrequenzsignal synchronisiert wird. Messungen bis +/-0,1Hz sind damit machbar.
Also am Zähler liegt es mit Sicherheit nicht.
Außerdem würde ich das sehr schnell bemerken, wenn ich zweimal wöchentlich mit meiner KW Station mit meinen englischen Funkkollegen in einer Runde die spezielle Betriebsart Coherent BPSK betreibe. Dabei wird absolute Frequenzstabilität verlangt (maximale Abweichung von der Betriebsfrequenz +/- 1 Hz über den ganzen Verbindungszeitraum)

Nun, ich hätte schon erwartet, dass nach einer Kalibrierung laut Manual sich die Abweichungen an den Bereichsenden auf ein paar Hz beschränken..... so kann ich das Teil jedenfalls nicht brauchen.

Dieser Bausatz ist auch in anderer Hinsicht etwas frustrierend - das Display ist mit Leitgummis zur Hauptplatine hin kontaktiert. Der Rahmen drum herum ist über 6 kleine Schräubchen fixiert auf der Platine.
Nach einigen Kalibrierversuchen, bei denen man natürlich auch die aufgelöteten Drucktaster betätigen muss, gibt es immer wieder Display-Ausfälle.
Eine "Beilage" zwischen dem Glaskorpus des Displays und dem Plastikrahmen von ein paar Lagen Tesafilm brachten erstmal Abhilfe.
Diese Leitgummis scheinen irgendwie etwas zu kurz geraten zu sein....

Um irgendwelchen Fragen von vornherein aus dem Weg zu gehen: Ich besitze die Amateurfunklizenz Klasse B seit 1977 - amtliches Rufzeichen ist DF2CN !

Mal sehen, ob vielleicht noch jemand Erfahrungen mit diesem Bausatz gesammelt hat - vielleicht funktioniert er ja bei anderen so genau, wie erwartet, wer weiß ?!

Danke und Gruß aus Kösching

Peter






BID = 469732

Ltof

Inventar



Beiträge: 9268
Wohnort: Hommingberg


Zitat :
P.Wolf hat am  6 Nov 2007 06:28 geschrieben :

...- vielleicht funktioniert er ja bei anderen so genau, wie erwartet, wer weiß ?!...

Klare Antwort: tut er!

Allerdings habe ich meinen nicht selbst zusammengelötet, sondern mich darauf beschränkt, ihn in ein halbwegs passables Gehäuse zu bauen. Ich hatte mir das Fertigmodul gekauft. Mein selbst gebauter Frequenzzähler zeigt das an, was ich am Generator einstelle (von den Fehlern durch die Auflösung des Zählers mal abgesehen).

Was bei Deinem Generator jetzt faul sein kann, weiß ich auch nicht. Ich würde einfach nochmal alles unter der Lupe betrachten und wenn da keine Fehler zu finden sind und die Signale sauber sind, würde ich das Ding einschicken und checken lassen. Ein klemmendes Bit kann es ja auch nicht sein, weil die Datenübertragung zwischen dem µC und dem DDS-Chip seriell ist. Da dürfte eigentlich überhaupt nichts mehr funktionieren, wenn da etwas klemmt.

Gruß,
Ltof

_________________
„Schreibe nichts der Böswilligkeit zu, was durch Dummheit hinreichend erklärbar ist.“
(Hanlon’s Razor)

BID = 469733

wulf

Schreibmaschine



Beiträge: 2246
Wohnort: Bozen

Hallo,
ich selber habe schon etwas mit DDS experimentiert. Die Genauigkeit hängt maßgeblich von der Referenzfrequenz ab.
Ich hab jetzt selber bei Conrad nachgeguckt:
Es ist einer von diesen integrierten Quarzoszillatoren, die haben meisst 100ppm. Davon würde ich mir nicht zu viel erwarten.
Man könnte versuchen eine bessere Referenz aufzubauen und den Ozillator damit antreiben.

Grüsse Wulf


[ Diese Nachricht wurde geändert von: wulf am  6 Nov 2007  9:35 ]

BID = 469737

Ltof

Inventar



Beiträge: 9268
Wohnort: Hommingberg

Hi Wulf,

in Anbetracht des fachlichen Hintergrundes von Peter bin ich mir nun sicher, dass er sich dessen bewusst ist. Sein Generator spinnt einfach, falls nicht an anderer Stelle ein Meßfehler oder Defekt vorliegt.

Der Generator ist bei Vorhandensein einer Vergleichsfrequenz gut kalibrierbar. So rein zum Spaß habe ich das Ding mal auf 0,1 ppm hingelogen. Das war aber alles andere als Temperatur- und Zeitstabil. Besser 10 ppm bei halbwegs konstanter Raumtemperatur ist aber durchaus drin.

@Peter
Was Du mal testen könntest: Stelle die Kalibrierung auf den Ausgangswert (50,000 MHz Oszillator-Frequenz) und schaue, ob er dann auch noch bei anderen Frequenzen stark abweicht. Vielleicht liegt ein Fehler in der µC-Firmware vor und es gibt einen Überlauf oder sowas.

nochwas:
Oberhalb von 10 MHz bricht die Amplitude des Sinus-Ausgangs ein. Hast Du daran gemessen und vielleicht wegen zu kleiner Amplituden am Zähler die Meßfehler provoziert?

Gruß,
Ltof

_________________
„Schreibe nichts der Böswilligkeit zu, was durch Dummheit hinreichend erklärbar ist.“
(Hanlon’s Razor)

[ Diese Nachricht wurde geändert von: Ltof am  6 Nov 2007  9:56 ]

BID = 469741

wulf

Schreibmaschine



Beiträge: 2246
Wohnort: Bozen

Hallo,
Ltof: Das war mir schon klar. Nur kann er das Teil trotzdem nicht für seinen Einsatz wenn er sich nur wenige Hz Abweichung erwartet. Da könnte man vielleicht überlegen die tolle Referenzfrequenz vom Zähler etwas "hochPLLisieren" .

Wenn sich herausstellen sollte dass wirklich etwas mit der Firmware nicht stimmt, würde ich sowas hier empfehlen http://www.dl5mgd.de/dds/AD9951.htm

Grüsse Wulf

_________________
Simon
IW3BWH

BID = 469745

Ltof

Inventar



Beiträge: 9268
Wohnort: Hommingberg

Ich glaube nicht, dass Peter eine solche Präzision von dem Generator erwartet. Er wird, falls er das erreichen will, den vorhandenen Oszillator durch etwas "Eigenes" ersetzen, was diesen Ansprüchen genügt. Dann hat er einen sehr komfortablen und genauen Referenzgenerator für seine Zwecke.

Dazu muss das Teil aber erst mal vernünftig arbeiten.

_________________
„Schreibe nichts der Böswilligkeit zu, was durch Dummheit hinreichend erklärbar ist.“
(Hanlon’s Razor)

BID = 470028

P.Wolf

Gelegenheitsposter



Beiträge: 62
Wohnort: Kösching

Hallo und vielen Dank für die rege Beteiligung hier...

also ich habe natürlich bemerkt, dass die Amplitude im oberen Frequenzbereich stark einknickt. Deshalb ist zwischen dem Oszillator und dem Zähler ein Verstärker drin, damit mein Zähler überhaupt was anzeigt. ich habe übrigens an beiden Ausgängen gemessen.

Tja, das mit dem Ausmessen mit der Grundstellung der Kalibrierung habe ich schon mal gemacht und was eigenartiges festgestellt:
Die Kalibrierung ist wieder auf den Ursprungswert von 50MHz gestellt und ich bekomme eine Ausgangsfrequenz, die höher ist als die VOR der letzten Kalibrierung..... schon etwas komisch, oder ?! Vorher musste ich immer nach UNTEN korrigieren.....

Also, ich werde das Display nochmals runterbauen um an den winzigen Beinchen der SMD Teile die Lötstellen zu kontrollieren ( die SMD Teile habe ich selber eingelötet ) und danach nochmals einen Probelauf starten. Mal sehen was dann alles rauskommt....

Parallel dazu habe ich natürlich noch ein anderes Ass im Ärmel:
Ich baue eine GPS gestützte Synchronisation eines VCO's auf. Habe mir dazu eine Jupiter GPS Karte besorgt. Die hat einen hochgenauen 10kHz Ausgang. Dieser wird dann dazu benutzt, um über eine PLL Schleife einen schon recht genauen VCO Oszillator ( Schomandl Frequendekade ) hinzuziehen, um halt auf Dauer "noch genauer" zu sein !

Also, Leute, ich probier das vorher geschriebene nochmals aus und gebe Euch wieder bescheid über die Ergebnisse.

Nochmals vielen Dank für die Tipps

Servus aus Kösching


Peter

BID = 470096

P.Wolf

Gelegenheitsposter



Beiträge: 62
Wohnort: Kösching

Hallo zusammen,

also ich habe gerade nochmals diesen DDS Generator angeklemmt und die Grundeinstellungen der Kalibrierung eingestellt - 50 MHz.
Dann ergeben sich folgende Ausgangsfrequenzen:

Soll Ist
1 MHz 1,019994 MHz
2 MHz 2,019988 MHz
3 MHz 3,019983 MHz

10 MHz 10,019940 MHz

15 MHz 15,019909 MHz

20 MHz lassen sich nicht mehr einstellen, da ist bei 19,98 Schluß

So, jetzt kalibrier ich das Teil auf 1 MHz, dann bekomme ich folgende Ergebnisse :

1 MHz 1,000000 MHz
2 MHz 1,980395 MHz
3 MHz 2,960788 MHz

10 MHz 9,823540 MHz

15 MHz nicht mehr exakt messbar....

Der Fehler steigt mit der Frequenz an.... irgendwie schon blöd, wenn man zuvor eine "Kalibrierung" durchgeführt hat, oder ?
Ich glaube, hier stimmt was ganz gewaltig nicht - was mein Ihr dazu ??

Gruß aus Kösching


Peter

BID = 470100

wulf

Schreibmaschine



Beiträge: 2246
Wohnort: Bozen

Hallo,
Wenn man bei dem Teil auch einen konstanten Offset einstellen kann (Ausgleich für eine ZF z.B.) dann liegt das Problem daran. Diese Einstellung steht dann nicht auf Null.

Die Istfrequenz weicht immer um 19,9 bis 20kHz kHz von der eingestellten Frequenz ab.



_________________
Simon
IW3BWH

[ Diese Nachricht wurde geändert von: wulf am  7 Nov 2007 14:35 ]

[ Diese Nachricht wurde geändert von: wulf am  7 Nov 2007 14:36 ]

BID = 470101

Benedikt

Inventar

Beiträge: 6241

Da bei DDS der Wert digital eingestellt wird, die Frequenz aber grob passt, würde ich sagen da ist irgendwo ein Fehler in der Software der Frequenzberechnung: Unkalibriert fällt ein Offset von ziemlich genau 20kHz auf, der konstant bleibt. Und kalibriert vervielfacht sich der Fehler dann natütlich, da bei 1MHz um 2% nach unten gedreht wird. Bei 10MHz sind das dann -2% + 20kHz = -180kHz was genau zu den Messergebnissen passt.

BID = 470103

Ltof

Inventar



Beiträge: 9268
Wohnort: Hommingberg

Das Ding hat tatsächlich ne Software-Macke! Ich habe das auch hinbekommen, indem ich einen positiven Offset von 20 kHz eingegeben hatte. Interessant hierbei: Beim neuerlichen Aufruf der Offset-Einstellung wird zwar 0 angezeigt, aber der Offset ist noch da!

Ich habe das wieder wegbekommen, indem ich bei der Offseteinstellung durch 2 Sekunden Drücken der Cursortaste(n) zwischen positivem und negativem Offset umgeschaltet habe. Danach war der Offset wirklich null - wie angezeigt.

Gruß,
Ltof

_________________
„Schreibe nichts der Böswilligkeit zu, was durch Dummheit hinreichend erklärbar ist.“
(Hanlon’s Razor)

[ Diese Nachricht wurde geändert von: Ltof am  7 Nov 2007 15:23 ]


      Nächste Seite
Gehe zu Seite ( 1 | 2 Nächste Seite )
Zurück zur Seite 0 im Unterforum          Vorheriges Thema Nächstes Thema 


Zum Ersatzteileshop


Bezeichnungen von Produkten, Abbildungen und Logos , die in diesem Forum oder im Shop verwendet werden, sind Eigentum des entsprechenden Herstellers oder Besitzers. Diese dienen lediglich zur Identifikation!
Impressum       Datenschutz       Copyright © Baldur Brock Fernsehtechnik und Versand Ersatzteile in Heilbronn Deutschland       

gerechnet auf die letzten 30 Tage haben wir 24 Beiträge im Durchschnitt pro Tag       heute wurden bisher 6 Beiträge verfasst
© x sparkkelsputz        Besucher : 180920424   Heute : 5325    Gestern : 9237    Online : 515        18.4.2024    17:42
6 Besucher in den letzten 60 Sekunden        alle 10.00 Sekunden ein neuer Besucher ---- logout ----viewtopic ---- logout ----
xcvb ycvb
0.0463418960571